首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于CPLD的数据采集与显示接口电路仿真设计
来源:本站整理  作者:佚名  2010-04-09 11:34:41



摘要:常规数据采集与显示方法是应用CPU或DSP通过软件控制数据采集的模/数转换,这样将会频繁中断系统的运行,从而降低系统的运算速度,数据采集的速度也将受到限制。通过CPLD实现由硬件控制模/数转换和数据显示,最大限度地提高系统的信号采集和处理能力。这里运用VHDL硬件编程语言,通过状态机设计程序,完成A/D转换芯片与可编程逻辑芯片的接口。将A/D转换结果以BCD码形式通过CPLD芯片进行显示,实时观测转换进程,给出了BCD码转换流程图,完成相应电路设计,通过QuartusII软件进行仿真,并在开发系统上成功实现功能验证,提高了系统的运算速度。
关键词:可编程逻辑器件;模数转换;二-十进制码显示;接口电路

0 引 言
    CPLD称为复杂可编程逻辑设计芯片,它是大规模可编程器件,具有高集成度、高可靠性、高速度的特点。CPLD是利用EDA技术进行电子系统设计的载体。硬件描述语言是EDA技术进行电子系统设计的主要表达手段,VHDL语言是常用的硬件描述语言之一;软件开发工具是利用EDA技术进行电子系统设计的智能化的自动化设计工具,常用开发工具有QuartusII,Ispexpert,Foundation等。CPLD以高速、高可靠性、串并行工作方式等特点在电子设计中广泛应用。它打破了软硬件之间的界限,加速了产品的开发过程。同样单片机具有性价比高、功能灵活、良好的数据处理能力等特点。CPLD芯片与单片机结合在高性能仪器仪表中应用广泛。

1 电路的仿真设计
1.1 硬件电路功能
    用一片MCS-51芯片、一片CPLD/FPGA芯片、模/数转换器ADC0809和数/模转换器DAC0832构成一个数据采集系统,并用CPLD/FPGA实现数据采样、D/A转换输出、有关数据显示的控制,单片机完成对A/D转换数据运算。电路如图1所示。


    系统功能如下:系统按一定速率采集输入电压Ui,经AD0809转换为8位数字量data;输入数据与通过CPLD/FPGA采样后输入单片机进行相关运算,最后通过CPLD/FPGA送至DAC0832转换为△U;数据采集和处理均在数据采集系统控制器的管理下有序进行。工作速率由时钟信号CLK的速率决定。
1.2 单片机与CPLD/FPGA接口设计
    单片机采用以总线方式与可编程芯片进行数据与控制信息通信,此方式有许多优点:
    (1)速度快。其通信工作时序是纯硬件行为,对于MCS-51单片机只需一条单字节指令就能完成所需的读/写时序如MOV@DPTR A和MOVA@DPTR。
    (2)节省CPLD芯片的I/O口线。如果将图中的译码器设置足够的译码输出以及安排足够的锁存器就能仅通过19根I/O口线在FPGA/CPLD与单片机之间进行各种类型的数据与控制信息交换。
    (3)相对于非总线方式单片机的编程简捷控制可靠。
    (4)在FPGA/CPLD中通过逻辑切换单片机易于与SRAM或ROM接口。这种方式首先由FPGA/CPLD与接口的高速A/D等器件进行高速数据采样并将数据暂存于SRAM中。采样结束后通过切换使单片机与SRAM以总线方式进行数据通信以便发挥单片机强大的数据处理能力。

[1] [2] [3]  下一页

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:251,171.90000 毫秒