首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于AD7543和FPGA的数/模转换电路设计
来源:本站整理  作者:佚名  2010-04-08 18:55:17



3 AD7543工作时序FPGA实现
    AD7543是串行输入数/模转换芯片,被转换数据是逐位写进AD7543中,因此,AD7543工作时,要有正确的工作时序,工作时序在QuartusⅡ开发环境中利用硬件描述语言Verilog HDL描述。QuartusⅡ是Altera公司的EDA开发工具,它是集设计输入、编译、逻辑综合、器件引脚管理、功能仿真、定时分析、编程下载等于一体的可编程逻辑器件设计环境。
    在设计中,为了降低设计成本,FPGA采用Altera公司的Cyclone系列的EP1C6Q240C8芯片。。EP1C6Q240C8芯片,不仅集成了5 980个逻辑单元 (LEs),还集成了20个4 KB双口存储单元(M4KRAM BLock)和92 160 b的普通高速RAM等资源。
    打开Quartus II软件,新建一个工程管理文件。在工程管理文件中,新建一个Verilog HDL源程序文件,用硬件描述语言Verilog HDL编写程序,实现控制功能,其代码如下:



4 FPGA时序模块仿真

    为了进一步的验证FPGA控制器模块的正确性,在下载到目标器件之前,可以对此模块进行时序仿真。在工程文件中,首先要新建一个以vwf结尾的波形文件。在弹出的对话框中添加要观察的引脚,然后再设定时钟相关参数和保存波形文件,最后在编译顶层文件之后,可对此模块进行仿真。如图4为FPGA控制器模块仿真波形,CLOCK为此模块的工作时钟,时间周期设为40 ns;ida为并行输入数据,STI为串行输出,STB1为选通脉冲信号。由图可知,STB1的上升沿把STI引脚上的数据装入寄存器A中,装满寄存器A后,LOD设为低电平,把寄存器A的内容装入寄存器B中。仿真时序与图1的时序一致,满足设计要求。

5 结 语
    针对采用软件控制AD7543数/模转换芯片所具有的缺点的基础上,采用硬件(FPGA)直接对AD7543转换芯片进行控制的方式来设计数/模转换电路,给出具体的硬件实现电路和控制器的Verilog HDL实现代码。因为FPGA控制器是一个独立单元,它几乎不需要CPU的干预就能工作,在电路中分担了CPU的工作量,这不仅提高CPU实时处理信号能力,还会提高系统的可靠性,具有一定的实用价值与参考价值。在此设计了基于FPGA与AD7543转换芯片的数/模转换电路,AD7543的工作时序全部用FPGA器件产生,提高系统的可靠性。

上一页  [1] [2] [3]  下一页

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:186,605.50000 毫秒