首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于FPGA的线阵CCD驱动时序电路的设计
来源:本站整理  作者:佚名  2009-05-07 11:24:59




3 CCD驱动时序的设计和实现
    由图1所示,其设计方法是:在系统最佳工作频率下,通过基本计数单元产生CCD工作所需的波形,保证CCD正常工作。根据TCDl500C的技术手册,可以看出时钟φ为典型值0.5 MHz时,占空比为1:1;输出复位脉冲φRS为1 MHz,占空比为1:3,采样保持脉冲φSP=1 MHz,脉冲宽度为100 ns。根据所给出的时序关系图可以得到转移脉冲φSH,时钟φ,复位脉冲RS,采样保持脉冲SP等控制信号的时序图。由于1个φSH周期中至少要有5 411个φ脉冲,即TSH>5 411T。由此可知,改变时钟频率或增加光积分周期内的时钟脉冲数,就可以改变光积分时间。即通过积分时间控制信号A1,A2,A3控制积分时间的改变;000~111分别控制8档积分时间变换。000时间最短,111时间最长,可以通过软件动态设置积分时间,实现CCD光积分时间的智能控制。部分实现程序如下:

 编译后最后得到的仿真波形结果如图2所示。

4 结 语
    本文实际采用Modelsim开发系统实现编程和测试程序的编写,内部模块采用Verilog硬件描述语言编写,完成了时序电路的设计和实现,并测试无误后下载到FPGA上,产生CCD驱动,输出结果十分理想。不仅简化了电路设计,提高可靠性,而且提高了研发速度。

上一页  [1] [2] 

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:86,871.09000 毫秒