首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于EDA技术的555单稳态触发器设计与仿真
来源:本站整理  作者:佚名  2009-09-25 10:43:47



1 引言
    当前电子线路已大量采用计算机辅助仿真设计,尤其是电子设计EDA仿真技术。EDA仿真软件中Electronics Workbench仿真设计分析软件是计算机数字电路与逻辑设计模拟和仿真的软件包,是实用的电子电路在线仿真工具,可加快产品的开发速度,提高工作效率。这里介绍一种基于EDA技术的555单稳态触发器设计与仿真。

2 电路设计原理
2.1 单稳态触发器概述
    电路中只有一种稳定工作状态的触发器叫做单稳态触发器,其特点:在无外加触发信号作用时,电路处于一种稳定工作状态,称为稳态;当输入端有外加触发脉冲信号的上升沿或下降沿(由电路而定)作用时,输出状态立即发生跳变。电路进入暂时稳态状态,称为暂稳态。电路自动恢复原先的稳态,其暂稳态时间与电路阈值电压及外接R、C参数有关。按电路结构,单稳态触发器可分为微分型和积分型两种。前者适用于窄脉冲触发,后者适用于宽脉冲触发。无论哪种电路结构,其单稳态的产生都源于电容的充放电原理。图1为用555定时器组成的单稳态触发器电路。

2.2 单稳态触发器电路的工作原理
    用555定时器组成的单稳态触发器,图2为其波形图。图中,t0~t1为稳态,t1~t3为暂稳态,t3时刻恢复稳态。

    由上述可知,555定时器组成的单稳态电路由输入脉冲信号的下降沿触发,使其输出状态产生翻转,另外,在暂稳态过程结束前,u1必须恢复为1,否则电路内的RS触发器为不确定状态,输出不能维持0状态。因此这种单稳态电路只能用负窄脉冲触发。如果输入脉宽大于输出脉宽,则输入端可加RC微分电路,使输入脉宽变窄。

[1] [2]  下一页

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:346,000.00000 毫秒