首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于Lagrange算子的成形滤波器设计
来源:本站整理  作者:佚名  2007-11-13 10:02:00



摘要:成形滤波器的设计一直是移动通信中的热门话题,它设计的好坏直接影响通讯系统的性能指标。文中介绍了基于lagrange算子的成形滤波器的设计方法,并与窗函数和频率抽样设计进行了比较。仿真及实测结果均表明此方法在实际应用中具有较好的可行性和有效性。
关键词:lagrange算子;成形滤波器;根升余弦滤波器;WCDMA

    引言

    在数字通信中,成形滤波器的设计是通信领域中的一个基本问题。其作用是一方面对信号进行带限,另一方面减小码间干扰,因此频谱形状应满足奈奎斯特准则,频率响应要满足升余弦。本文介绍了用lagrange算子来设计WCDMA上下行链路中的成形滤波器。

     WCDMA上下行链路中的成形滤波器时域特性和频域特性

    发射端中的成形滤波器是平方根升余弦滤波器,它是一个低通滤波器。它是对发送的数据进行成形滤波,在接收端对应的地方也有一个与之相应的平方根升余弦滤波器。发送滤波器一般应满足对频谱的要求,以限制信号的带宽。接收滤波器能限制噪声和减小符号间的干扰。3GPPTS25.104里对成形滤波器作了如下规定。

    (1)平方根升余弦滚降的时域表达式如下:

   

    (2)平方根升余弦滚降的频率响应特性如下:

   

    (3)滚降系数α和码片周期T

    升余弦谱滤波器的滚降系数α定义为

   

    即滚降特性信道的带宽为fα+ fs=(1+α)fs。其中,fs为码片速率,fα为滚降带宽。

    随着α的增大,幅度响应在截止频率处越光滑,冲激响应拖尾幅度衰减越快,ISI(Intersignal Interrupt)减小。因此,理论上α应尽可能大些。但是,实际中α的确定应使发送信号的归一化等效基带功率谱满足通信行业标准规定的频谱框架要求。在WCDMA中,α取0.22。

    由于WCDMA码片速率为3.84MHz,所以Tc =1/3.846≈0.26042µs。

     Lagrange算子法设计滤波器

    下面考虑偶对称及滤波器阶数为偶数的滤波器

    设计:

   

    其中令

   

    则

    阻带能量为

   

    其中

   

   

                 i,k=1,2,…,L/2

    滤波器通带要求在通带范围内离散的频点上满足一定的幅度和约束。约束条件

   

    即

   

    因此通带约束条件满足如下等式:

   

    其中

   

   

    使用Lagrange算子设计滤波器的思想:满足约束条件(10)使阻带能量最小。得到如下结果:

   

   

    λ是Lagrange算子。具体求解过程请参见[2]。

    仿真及实测结果

    (1)设计结果
    根据具体的专用集成电路要求,取L=64,选择合适的约束条件:保证通带平坦度最优和通道的3dB带宽,得到如下的成形滤波器频谱如图1所示。

   
    图1  Lagrange算子滤波与理想滤波频率响应

    滤波器性能指标:

   ·截止频率1.92MHz
    •阻带起始频率2.342MHz
    •通带纹波0.7dB
    •阻带抑制81.7dB

    
    (2)采用窗函数和频率抽样算法设计的成形滤波器

    滤波器性能指标:

    •截止频率1.9MHz
    •阻带起始频率2.342MHz
    •通带纹波0.7dB
    •阻带抑制40.5dB

    滤波器性能指标:
    •截止频率1.923MHz
    •阻带起始频率2.342MHz

    •通带纹波0.2dB
    •阻带抑制44dB


   
    图2  窗函数法
    
   
    图3  频率抽样法

    (3)实测结果及分析针对WCDMA上行信号,我们设计了一基于ISL5416的成形滤波器:64阶,滚降因子0.22,图4是通过成形滤波器的星座图,图5是成形滤波器的输出功率谱。

    结论
   
仿真分析表明,相对于窗函数和频率抽样法,

   
    图4  WCDMA星座图

   
    图5  功率谱示意图

    基于Lagrange算子得到的成形滤波器具有较准确的通带和阻带边缘频率,阻带抑制大的优点;实测结果显示,采用Lagrange算子能得到满足WCDMA通信系统需求的成形滤波器。

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:125,566.40000 毫秒