首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于DDS技术的线性调频信号的生成
来源:本站整理  作者:佚名  2009-04-02 11:37:54



3 扫频信号的产生

利用DDS技术的调制特性可以方便地产生扫频信号,仅需控制DDS的频率控制字K,让其随预期设计的规律变化即可。

为了采用DDS实现扫频信号扫频带宽范围内的各个频点fi,需要为其确定相应的频率控制字Ki,从而很容易地计算出所需的一系列Ki值。与DDS的基本原理类似,将符合设计要求的一系列Ki值存储在一张查找表中。再利用一个计数器循环计数,将其输出作为查找表的寻址地址,以此不断地循环读取查找表中的各个Ki。这样就可以使受Ki控制的DDS的输出始终在所预期设计的各个频点fi上变化,达到扫频的目的。其中,计数器循环一次的时间就是所要实现的扫频信号的扫频周期,而计数器的计数范围则与扫频信号扫频带宽范围内的频点个数相对应。

以上就是利用DDS实现扫频信号的基本思路,以下是实现此思路的VHDL源程序的主要部分:

在Xilinx ISE 8.2i开发环境中对其进行仿真的结果如图3所示。

4 结语

VHDL是IEEE的工业标准硬件描述语言,可以描述硬件电路的功能、信号连接关系及定时关系,在电子工程领域用来描述、验证和设计电子线路得到了广泛的接受和应用。利用DDS技术的调制特性产生各种调制信号简单方便,容易实现。从文中不难看出,将VHDL语言与DDS技术结合起来设计生成调频信号,直观快捷,可操作性很强,必将得到更加广泛的应用。

上一页  [1] [2] [3]  下一页

关键词:

·上一文章:频率合成器的相位噪声分析
·下一文章:集成芯片的可测性设计技术
[] [返回上一页] [打 印]

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:226,757.80000 毫秒