首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于FPGA玻璃缺陷图像采集处理系统
来源:本站整理  作者:佚名  2009-11-11 09:39:11



2.2 图像低级处理的FPGA实现
    图像处理系统中,底层的图像预处理(滤波、锐化)的数据量很大,要求运算速度很快,但运算结构相对比较简单,适应于FPGA通过硬件实现。而在数字信号处理中,卷积器经常被用于图像滤波、边缘检测、图像锐化,在玻璃缺陷检测系统中,对采集的图像进行滤波去噪处理,以降低后续处理运算的压力。设对于两个长度分别为m和n的序列f(i)和g(j),于是可以给出一个长度为N=m+n-1输出序列:

   
设f(i)为模板序列{ω1,ω2,…,ωk},g(j)为输入序列{x1,x2,…,xn},模板匹配后的结果序列为{y1,y2,…,yn-k+1},则:

   
    上式显然与常规意义下的卷积有所不同,但只要将{ω1,ω2,…,ωk}从左到右按镜像排列预置于模块中即可。可以看出,每个输入点xi都要与模块中的所有元素进行累加和相乘。
    VHDL程序顶层文件代码如下:

   

上一页  [1] [2] [3] [4]  下一页

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:81,437.50000 毫秒