首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于Matlab/DSP Builder多波形信号发生器的设计
来源:本站整理  作者:佚名  2009-03-13 09:05:06



  在Simulink中进行的仿真是属于系统验证性质的,是对MDL文件进行的仿真,并没有对生成的VHDL代码进行过仿真。事实上,生成VHDL描述的是 RTL级的,是针对具体的硬件结构的,而在Matlab的Simulink中的模型仿真是算法级的,两者之间有可能存在软件理解上的差异。转换后的 VHDL代码实现可能与MDL模型描述的情况不完全相符。这就需要针对生成的RTL级VHDL代码进行功能仿真。为此利用ModelSim对多波信号发生器进行RTL级进行仿真,以验证多波信号发生器设计的正确性,其仿真波形如图2所示,由此可以看出其设计是正确的[3]。

  4、多波信号发生器的顶层设计及仿真结果

    多波信号发生器的顶层设计

  整体电路采用原理图描述和VHDL语言相结合的方式构成,在Quartus II软件中实现综合及仿真。顶层原理图如图3所示,为了达到输出信号的有选择的目的,设计了一个多路选择顺,该多路选择器的采用VHDL语言描述,其源代码如下:
library ieee;
use ieee.std_logic_1164.all;
entity test4 is
   port(d1,d2,d3,d4: in std_logic_vector(7 downto 0);
sel: in bit_vector(1 downto 0);
q: out std_logic_vector(7 downto 0));
end test4;
architecture rtl of test4 is
begin
   process(d1,d2,d3,d4,sel)

  begin
      case sel is
         when 00 => q <= d1;
         when 01 => q <= d2;
         when 10 => q <= d3;
         when 11 => q <= d4;
      end case;

      end process;
end rtl;

    Quartus II的仿真波形

  经过Quartus II的综合与仿真,结果表明,能够实现多种波形信号的功能。图4是Quartus II的仿真波形。

  5  结语

  经过Quartus II仿真正确后,即可将项目编译生成的编程文件下载到FPGA器件中,完成器件编程,经测试表明,电路实际工作的结果与仿真时的结果一致,达到了设计要求。

  本文作者创新点在整个多波形信号发生器的设计过程中,充分利用了Matlab强大的数学计算功能来保证FPGA的设计的正确性,使整个设计非常简单,修改灵活。设计者不至于陷于复杂的VHDL 语言编程,只要在Matlab下建立系统模型,然后对各个模块的基本参数进行简单设置就可以实现复杂电子系统的设计。


上一页  [1] [2] 

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:144,582.00000 毫秒