首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于FPGA的高速数字相关器设计
来源:本站整理  作者:佚名  2010-04-09 11:24:28



  在使用 VHDL进行高速数字相关器设计时,主要实现 4位相关器和多位加法器模块的设计。其元件生成图分别是 4位相关器模块 XIANGGUAN4、3位加法器模块 ADD3和 4位加法器模块 ADD4,其电路原理图如图 2所示。其中 4位相关器模块 XIANGGUAN4的主要源代码为:

  entity xiangguan4 is

  port(a,b:in std_logic_vector(3 downto 0);

  sum:out std_logic_vector(2 downto 0);

  clk:in std_logic);

  end ;

  architecture one of xiangguan4 is

  signal ab :std_logic_vector(3 downto 0);

  begin

  ab<=a xor b; --判断 a,b是否相同

  process(clk)

  begin

  if clk'event and clk='1' then

  if ab="1111" then sum<="000"; --列出各种组合,输出相应相关值

  elsif ab="0111" or ab="1011" or ab="1101" or ab="1110" then sum<="001";

  elsif ab="0001" or ab="0010" or ab="0100" or ab="1000" then sum<="011";

  elsif ab="0000" then sum<="100";

  else sum<="010";

  end if;

  end if;

  end process;

  end one;

上一页  [1] [2] [3] 

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:316,054.70000 毫秒