首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 单元电路介绍 > 其它电路
基于FPGA技术的数字相关器的设计与实现
来源:本站整理  作者:佚名  2010-04-09 11:26:06



  3 用VHDL设计数字相关器   

  用VHDL设计数字相关器的逻辑框图如图2所示。

图2  字相关器的逻辑框图

  本文用VHDL设计的数字相关器,仅需一个数据时钟,避免了复杂的时序控制,它采用适时运算处理,所得相关峰的宽度是一个数据比特,比较容易捕获,不会产生丢峰漏峰等不良现象,提高了相关器的可靠性。  

       下面给出32-bit数字相关器的部分VHDL源程序。


       4 FPGA实现32-bit数字相关器  

       本设计选用XC4044XLA FPGA芯片实现,开发工具是XILINX公司的FoundationSeries3.1i。相关器仅占该芯片部分资源,该芯片其余资源为同步系统中其它部件所用。  下面给出该相关器测试结果。给相关器设置32位相关码:将0F7ADH、96E8H依次由低到高置入相关码寄存器中,其接收数据中的独特码与相关码相同,测试结果如图3所示。

 

图3测试结果

       5 结束语  

       用VHDL设计在FPGA芯片中实现数字相关器,简化了相关器复杂的逻辑电路设计,降低了相关器的功耗,提高了相关器的可靠性。该相关器已成功地应用于某无线通信系统中,性能稳定可靠。

上一页  [1] [2] 

关键词:

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:28,101.56000 毫秒