·上一文章:影响小功率电源变压器的初级空载电流的主要因素
·下一文章:[VHDL实例]加法器描述
-- 8-bit Identity Comparator
-- uses 1993 std VHDL
library IEEE;
use IEEE.Std_logIC_1164.all;
entity HCT688 is
port(Q, P : in std_logic_vector(7 downto 0);
GBAR : in std_logic; PEQ : out std_logic);
end HCT688;
architecture VER1 of HCT688 is
begin
PEQ <= '0' when ((To_X01(P) = To_X01(Q)) and (GBAR = '0')) else '1';
end VER1;