首 页文档资料下载资料维修视频包年699元
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 维修教程知识 > 学习园地
MAX+PLUS II快速入门
来源:本站整理  作者:佚名  2006-07-19 19:07:48



    MAX+PLUS II是Altera公司的全集成化可编程逻辑设计环境。

    它的界面友好,在线帮助完备,初学者也可以很快学习掌握。完成高性能的设计。另外,在进行原理图输入时,可以直接放置74系列逻辑芯片,所以对于普通爱好者来说,即使不使用Altera的可编程器件,也可以把MAX+PLUS II作为逻辑仿真工具,不用搭建硬件电路,即可对自己的设计进行调试,验证。下面以具体实例介绍MAX+PLUS II V9.30的使用,希望初学者能快速入门。

功能

  • MAX+PLUS II的编译核心支持Altera的FLEX 10K、FLEX 8K、MAX9000、MAX7000、FLASHlogIC、MAX5000、Classic系列可编程逻辑器件;
  • MAX+PLUS II的设计输入、处理与校验功能一起提供了全集成化的一套可编程逻辑开发工具,可加快动态调试,缩短开发周期;
  • MAX+PLUS II支持各种HDL设计输入,包括VHDL、Verilog和Altera的AHDL;
  • MAX+PLUS II可与其他工业标准设计输入、综合与校验工具链接。与CAE工具的接口符合EDIF200和209、参数化模块库(LPM)、Verilog、VHDL及其它标准。设计者可使用Altera或标准CAE设计输入工具去建立逻辑设计,使用MAX+PLUS II编译器对Altera器件设计进行编译,并使用Altera或其它CAE校验工具进行器件或板级仿真。MAX+PLUS II支持与Synopsys、Viewlogic、Mentor Graphics、Cadence、Exemplar、Data I/O、Intergraph、Minc、OrCAD等公司提供的工具接口;

安装

    本站提供MAX+PLUS II V9.30功能完全版,支持MAX+PLUS II全部功能,包括原理图输入、VHDL/AHDL输入、编译、仿真、定时分析、编程。为方便下载,这一版本仅提供对EPM7000/EPM7000S/EPM7000A的支持。

    安装很简单,只需将文件解开放在c:\maxplus2\目录。

使用

    使用MAX+PLUS II进行设计包括四个阶段:设计输入、设计处理、设计验证和器件编程。

    下面以一个最简单的例子,用ALTERA的EPLD——EPM7128S实现二分频器,来示范用MAX+PLUS II进行开发的全过程。

    首先启动MAX+PLUS II,进入集成开发环境

运行:maxstart.exe

设计输入

    建立一个新设计输入文件,这里我们采用原理图方式Graphic Editor file (*.gdf)来进行设计输入,这是最方便,最直观的逻辑输入方法

选择“OK”进入编辑状态

接着输入逻辑元件,在编辑区的空白处双击鼠标。在Symbol Name栏输入dff,表示D触发器

选择“OK”,D触发器就被放在编辑区

放置器件时,在Symbol Libraries框中选择mf库,就可以选择常用的74系列逻辑芯片

下面再放一个反相器,输入名称:not

在反相器上按鼠标右键,将反相器旋转180度

下面放置I/O脚,输入脚:input,输出脚:output

在PING_NAME上双击,编辑管脚名为in、out

鼠标移动到器件的端上就变为小十字,拖动即可画线,如图连接

好了,设计输入告一段落,将设计文件存盘,命名为myfirst.gdf

编译

上面已经完成了原理图的输入,需要给设计指定一个工程名,选择File->Project->Set Project to Current File,将当前工程名设为当前文件名

下面定义器件,即定义用哪种EPLD来实现设计,选择Assign->Device,在弹出窗口中选择MAX7000系列的EPM7128SLC84-10,确认

准备开始编译,选择MAX+plus II->Compiler,弹出编译窗口,按 Start 开始编译

编译结束之后,在编译窗口中的rpt图标上双击,可打开编译报告文件,其中有便宜后的管脚分配图,可看到我们定义的管脚in和out

分配I/O脚

上面MAX+PLUS II完成了编译,把我们定义的I/O脚自动分配给了器件EPM7128SLC84,也许你对MAX+PLUS II自动分配的管脚不满意,没关系,自己定义,选择MAX+plus II->Floorplan Editor,进入底层编辑工具,再选择Layout->Device View和Layout->Current Assignments Floorplan,显示当前的管脚分配情况

可看到EPLD的底层图,右上角为Unassigned Nodes & Pins

你可以直接将Unassigned Nodes & Pins中的管脚拖到合适的地方

编辑后结果如下

然后需要按前面所述将工程重新编译一遍,在rpt报告文件里可以看到新定义的管脚分配图

仿真

设计已经完成,但功能是否完全正确呢?只要进行一下仿真就能知道

首先,要编辑一个波形文件,打开MAX+plus II->Waveform Editor

然后确定仿真的时长,选择File->End Time,输入100us,确定

还需要确定仿真的最小时间单位,选择Option->Grid Size,输入50ns,确定

下面开始输入要仿真的信号名称,选择Node->Enter Node From SNF,在弹出的对话框中按List按钮,可以看到我们前面定义的I/O:in、out

按=>选择要增加的Nodes,把in、out都加入,确定,in、out出现在Wave Editor中

按in的图标,选中信号in,在左侧的工具按钮上选择时钟工具

在弹出的对话框中按确认

用左侧工具调整显示比例,得到以下波形

这就在in脚上加入了一个周期是100ns的信号

将波形文件存盘为myfirst.scf,选择MAX+plus II->Simulator调入仿真器

直接按Start启动仿真,仿真结束后按Open SCF,可以看到仿真结果

可以看出out脚输出正确,实现了二分频,另外,输出和输入之间的实际时延也被仿真出来了

编程

至此,一个设计已经全部完成,你可以在设计目录下找到生成的编程文件*.pof,要将它实现需要对EPM7128进行编程,最方便又廉价的方法是用Altera的ByteBlaster下载电缆将编程文件.pof从电脑的并行口直接写入器件。

选择MAX+plus II->Programmer,再选择Options->Hardware Setup,如下配置硬件

可以看到myfirst.pof已经自动被Programmer选中了

这时,确认硬件正确连接,目标板电源打开,按下Program即可开始对目标板上的EPLD进行编程了。

关键词:

·上一文章:数字分频式行场扫描电路
·下一文章:VHDL语言

文章评论评论内容只代表网友观点,与本站立场无关!

   评论摘要(共 0 条,得分 0 分,平均 0 分)

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:44,632.81000 毫秒