首 页文档资料下载资料维修视频汽修在线平台
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 维修教程知识 > 学习园地
  • [图文] 51单片机动态LED显示电路编程范例 2006-08-10
    上一节我们讲述了单只LED与单片机的接口电路及编程实例,目的在于让初学者了解LED在单片机中的应用原理,单只LED显示在实际应用中并无多大用途,一般都是多位的LED显示。现在我们作进一步学习,我们要讲解的是8位LE...

    [阅读全文]

  • 扬声器的失真 2006-07-31
    我们在与熟人通电话时,有时会觉得对方熟悉的声音发生了变化,引起声音发生变化的原因可以是人的健康原因,也可能是电话线路或电话机的故障,不管属于什么原因,当声音发生这种变化时我们称声音出现了失真。输给扬声...

    [阅读全文]

  • 如何鉴别扬声器的音质 2006-07-31
    虽然任何扬声器都有其强项和弱点,尤其在有限的预算下,低价的扬声器并不容易得到尽善尽美的效果,但无论任何价位和层次的扬声器而言,都有一定的参考标准或指涉方向。 1.测试低频的质量 劣质扬声器所产生之低频...

    [阅读全文]

  • 几种喇叭的发声方式 2006-07-31
    目前绝大多数的喇叭都还是用传统的锥盆式单体前后运动发声,比较学术性的说法,这些喇叭叫电动式(EleCTRokinetIC Dynamic)或动圈式(Moving Coil)。早在一八七七年德国西门子的Erenst Vemer就获得了动圈式喇叭的...

    [阅读全文]

  • 扬声器材料对声音大有影响 2006-07-31
    扬声器基本上由驱动单元,分音器和声箱构成,这三部分的设计固然重要,所用的材料对音质也有密切关系,假如改变其中一部分材料其馀保留不变,声音必然会有差别,这个差别可能非常明显,有些爱自己动手的发烧友试用不...

    [阅读全文]

  • [图文] PIC系列单片机或运算指令XORWF的应用 2006-07-31
    大家都知道,PIC单片机的大多数寄存器,包括RAM数据存储器的每个存储单元都能实现移位、清位、位测试等系列复杂操作功能。然而,协调它们运行的(应用最广泛的基本级、中级)精简指令集中,却没有对寄存器的某“位...

    [阅读全文]

  • 18岁之前的李嘉诚 2006-07-31
      全世界的人都在研究李嘉诚。连李嘉诚自己都说,不明白为什么有这么多书都在写他,这么人都在议论他。 有人说李嘉诚跟我们凡人之间的距离太远了。李的个人财富,大约是普通人的一百万倍,如果你是百万富翁,李的...

    [阅读全文]

  • [图文] 在VC++中利用ActiveX控件开发串行通信程序 2006-07-27
    摘要:探讨了在使用Visual C++编程时利用MICroSOFt Communications Control控件编写串行通信程序的方法,并给出了例程,具有一定的实用意义。 关键词:Visual C++串行通信ActiveX 在开发微机控制系统的过程中...

    [阅读全文]

  • [组图] 单片机多路数据采集 2006-07-27
    网友的毕业设计发上来大家共享有需要改进的地方大哥们尽管提哈!!图在上传的文件里程序在下面源程序:单路采集程序:MOV DPTR,#0F8FFH MOV R0,#00H ;将存储器的首地址存在R0,R1两个寄存器中 MOV R1,#00H MOV R2,...

    [阅读全文]

  • PS7219的PIC单片机接口程序 2006-07-27
    ;Copyright (c)1998,MICROChip Technology Inc;*TITLE"PS7219TEST";标题LIST P=16C711;处理器为PIC16C711#INCLUDE P16c711.INC TIMER1EQU H'000C';定义延时寄存器TIMER2EQU H'000D'SENT_ADDRESS EQU ...

    [阅读全文]

  • 24C02读写程序 2006-07-24
    // 24c02读写程序// www.808cn.com #define uchar unsigned char #define uint unsigned int #include <reg52.h> #include <stdio.h> #include <absaCC.h> sbit scl=P3^5; //24c02 SCL sbit sda=P3^4; //24c02 S...

    [阅读全文]

  • [图文] IEEE1394端子有两种。但它们有何区别呢?不同种类的端子,其使用方法又... 2006-07-23
    IEEE1394端子有6针和4针两种类型。6角形的端子为6针,小型四角形端子则为4针。一般来讲,受配置端子的空间等因素的制约,在笔记本电脑和省空间型台式个人电脑中大多采用4针,台式个人电脑则大多采用6针。另外,在数...

    [阅读全文]

  • 从51初学者到电子工程师 2006-07-22
    将电子产品抽象成一个硬件的模型,大约有以下组成:1) 输入2) 处理核心3) 输出输入基本上有以下的可能:1) 键盘2) 串行接口(RS232/485/CAN bus/以太网/USB)3) 开关量(TTL,电流环路,干接点)4) 模拟量(4...

    [阅读全文]

  • 用VC 6.0实现串行通信的三种方法 2006-07-22
    Windows下串行通信 与以往DOS下串行通信程序不同的是,Windows不提倡应用程序直接控制硬件,而是通过Windows操作系统提供的设备驱动程序来进行数据传递。串行口在Win 32中是作为文件来进行处理的,而不是直接对端口...

    [阅读全文]

  • 用VC++6.0实现PC机与单片机之间 2006-07-22
    摘 要 详细介绍了在Windows环境下应用VC++实现PC机与单片机的几种串行通信方法,给出了用Visual C++6.0编写的PC机程序和用C51编写的单片机通信程序。经实际应用系统运行稳定可靠。关键词 Visual C++ 类 串行通信...

    [阅读全文]

  • [图文] 用VB6实现仪器串口通信及检测功能 2006-07-22
    摘 要 介绍利用VB6串行通信编程的方法,对采用了RS-232接口的仪器进行串行通信的计算机测控软件开发,以及实现仪器功能和指标的验收。关键词 串行通信 测控 软件开发 随着Windows98的推出,越来越多的微机用户...

    [阅读全文]

  • 液晶显示LCD1602与遥控程序演示! 2006-07-22
    液晶显示1602与遥控程序演示!//遥控带液晶显示程序,已经调试完毕2002/10/30//并可显示时钟//若遥控接收不到,修改脉宽值即可---//http://www.mcu123.com//Email:ycxms88@163.com//作者:Mingtree//---#include <r...

    [阅读全文]

  • 122*32液晶显示程序,显示图形及汉字 2006-07-22
    /* 功能:使用12232液晶显示图片演示 作者: Mingtree ycxms88@163.com http://www.mcu123.com == 硬件:TG12232B (122*32)模拟口线接线方式 连接线图: --| |DB0-P0.0 | DB4-P0.4 | RW---P1.1 | A0P1.0 | |DB1...

    [阅读全文]

  • C51 I/O口模拟串口通讯C源程序 2006-07-22
    #include <reg51.h> sbit BT_SND =P1^0; sbit BT_REC =P1^1; /** IO 口模拟232通讯程序 使用两种方式的C程序 占用定时器0 **/ #define MODE_QUICK #define F_TM F0 #define TIMER0_ENABLE TL0=TH0; TR0=1;...

    [阅读全文]

  • [组图] 可控硅整流电路原理 2006-07-20
    一、单相半波可控整流电路1、工作原理电路和波形如图1所示,设u2=U2sinω。正半周:0<t<t1,ug=0,T正向阻断,id=0,uT=u2,ud=0t=t时,加入ug脉冲,T导通,忽略其正向压降,uT=0,ud=u2,id=ud/Rd。负半周...

    [阅读全文]

  • [VHDL实例]解复用器 2006-07-19
    -- DESCRIPTION : Demultiplexer-- Width: 8-- Number of terminals: 4-- Output enable active: HIGH-- Output active : HIGHlibrary IEEE;use IEEE.STD_LOGIC_1164.all;use IEEE.STD_LOGIC_UNSIGNED.al...

    [阅读全文]

  • [VHDL实例]三态总线(注2) 2006-07-19
    VHDL:Tri-State Buses prebus.vhd LIBRARY IEEE; USE ieee.std_logIC_1164.ALL; ENTITY prebus IS PORT( my_in : IN STD_LOGIC_VECTOR(7 DOWNTO 0); sel : IN STD_LOGIC; my_out : OUT STD_LOGIC_VECTOR(7 DOWNTO...

    [阅读全文]

  • |VHDL实例|双向总线(注2) 2006-07-19
    VHDL: Bidirectional Bus bidir.vhd (Tri-state bus implementation) LIBRARY ieee;USE ieee.std_logIC_1164.ALL; ENTITY bidir IS PORT( bidir : INOUT STD_LOGIC_VECTOR (7 DOWNTO 0)...

    [阅读全文]

  • [VHDL实例]多路选择器(使用case语句) 2006-07-19
    -- Multiplexer 16-to-4 using if-then-elsif-else Statementuse ieee.std_logIC_1164.all; entity mux is port( a, b, c, d: in std_logic_vector(3 downto 0); s: in std_logic_vector(1 downto 0);...

    [阅读全文]

  • [VHDL实例]多路选择器(使用when-else语句) 2006-07-19
    -- Multiplexer 16-to-4 using if-then-elsif-else Statementibrary ieee;use ieee.std_logIC_1164.all; entity mux is port( a, b, c, d: in std_logic_vector(3 downto 0); s: in std_logic_v...

    [阅读全文]

  • [VHDL实例]多路选择器(使用if-else语句) 2006-07-19
    -- Multiplexer 16-to-4 using if-then-elsif-else Statement library ieee;use ieee.std_logIC_1164.all; entity mux is port( a, b, c, d: in std_logic_vector(3 downto 0); s: in std_log...

    [阅读全文]

  • [VHDL实例]8位总线收发器:74245(注2) 2006-07-19
    -- Octal Bus Transceiver-- This example shows the use of the high impedance literal 'Z' provided by std_logIC.-- The aggregate '(others => 'Z')' means all of the bits of B must be forced to '...

    [阅读全文]

  • [VHDL实例]三人表决器(三种不同的描述方式) 2006-07-19
    -- Three-input Majority Voter-- The entity declaration is followed by three alternative architectures whICh achieve the same functionality in different ways. ENTITY maj IS PORT(a,b,c : IN BIT; ...

    [阅读全文]

  • [VHDL实例]最高优先级编码器 2006-07-19
    -- Highest Priority Encoder - LIBRARY ieee; USE ieee.std_logIC_1164.ALL; entity priority is port(I : in bit_vector(7 downto 0); --inputs to be prioritised A : out bit_vector(2 downto 0); -...

    [阅读全文]

  • VHDL 程序举例 2006-07-19
    VHDL 程序举例 重要说明:不同软件对VHDL语法的支持范围是不一样的,以下程序中的某些语句可能不能运行在所有的软件平台之上,因此程序可能要作一些修改,同时务必注意阅读程序中的注释。以下部分程序为txt格式,...

    [阅读全文]

总数:433930 上一页1 ...107 108 109 110 111 112 113 ...145下一页

栏目导航

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:14,679.69000 毫秒