首 页文档资料下载资料维修视频汽修在线平台
请登录  |  免费注册
当前位置:精通维修下载 > 文档资料 > 家电技术 > 维修教程知识 > 学习园地
  • [组图] 单片机系统中的红外通信接口 2006-07-19
    摘要:本文结合复费率电能表中红外通信的设计实从事贸易,介绍了单片机系统中红外通信的软硬件设计方法,并给出了具体的电路原理和通信源程序。 关键词:单片机,红外通信,遥控 在许多基于单片机的应用系统中,系统...

    [阅读全文]

  • [组图] VHDL语言结构描述概述 2006-07-19
    学习指导: 本部分主要讨论如何用VHDL语言对一个硬件结构进行描述,就是要描述该硬件由那些子元件组成及各个子元件之间的互连关系,是本章的重点掌握内容. 结构描述概念   对一个硬件结构进行描述...

    [阅读全文]

  • [图文] VHDL的顺序行为 2006-07-19
    VHDL的顺序行为 顺序语句在进程语句内部,顺序行为语句可分为两大类:一是条件控制类,二是循环控制类。  IF语句 CASE 语句 FOR语句 WHILE..LOOP语句 EXIT语句 断言语句 IF语句IF语句的一般形...

    [阅读全文]

  • [组图] VHDL的并行行为 2006-07-19
    VHDL的并行行为在VHDL中,结构体的内部没有规定语句的次序,执行的次序仅由对语句中的敏感信号发生的事件决定,且语句是同时执行产生其并行性。  并行幅值语句  选择信号赋值语句  复杂赋值语句 ...

    [阅读全文]

  • [图文] VHDL数据 2006-07-19
    用来保持数据的信号,变量和常量,在VHDL语言中称为目标。  在VHDL中有三类目标:信号、变量和常量。目标的一般形式如下:< 目标种类> < 目标< , 目标...>> : < 目标类型> :=< 表达式> ;   目标种类:...

    [阅读全文]

  • [组图] VHDL语言 2006-07-19
    vhdl模块描述  VHDL语言可以把任意复杂的电路系统视作一个模块,一个模块可分为三个部分:参数部分、接口实体和描述部分。 设计模块的示意图如图10-1所示。vhdl模块描述  VHDL语言可以把任意复...

    [阅读全文]

  • [组图] MAX+PLUS II快速入门 2006-07-19
    MAX+PLUS II是Altera公司的全集成化可编程逻辑设计环境。 它的界面友好,在线帮助完备,初学者也可以很快学习掌握。完成高性能的设计。另外,在进行原理图输入时,可以直接放置74系列逻辑芯片,所以对于普通爱好者...

    [阅读全文]

  • [图文] 数字分频式行场扫描电路 2006-07-19
    行场扫描电路是彩电的重要组成部分,旧式的电视机的行场振荡电路是采用LC振荡电路,这种电路结构简单但稳定性差,为此近年生产的彩电均采用数字分频式行场扫描电路,TA8659,TA8759,LA7629,LA7680等IC均采用此方式...

    [阅读全文]

  • [VHDL实例]地址译码(for m68008) 2006-07-19
    -- M68008 Address Decoder-- Address decoder for the m68008-- asbar must be '0' to enable any output-- csbar(0) : X"00000" to X"01FFF"-- csbar(1) : X"40000" to X"43FFF"-- csbar(2) : X"0...

    [阅读全文]

  • [VHDL实例]加法器描述 2006-07-19
    -- A Variety of Adder Styles-- Single-bit adder library IEEE;use IEEE.std_logIC_1164.all; entity adder is port (a : in std_logic; b : in std_logic; cin : in std_logic; sum : out std_logic; cout...

    [阅读全文]

  • [VHDL实例]8位相等比较器 2006-07-19
    -- 8-bit Identity Comparator-- uses 1993 std VHDL library IEEE;use IEEE.Std_logIC_1164.all;entity HCT688 is port(Q, P : in std_logic_vector(7 downto 0); GBAR : in std_logic; PEQ : out std_logic);e...

    [阅读全文]

  • 步进电机原理及使用说明 2006-07-16
    一、前言 步进电机是将电脉冲信号转变为角位移或线位移的开环控制元件。在非超载的情况下,电机的转速、停止的位置只取决于脉冲信号的频率和脉冲数,而不受负载变化的影响,即给电机加一个脉冲信号,电机则转过一个...

    [阅读全文]

  • 注意数字卫星接收机的频率偏差 2006-07-13
    在使用同一副天线、高频头的情况下,同洲2000B接收机能正常接收,而银河SR100接收机则需在菜单里将高频头本振频率稍做更改后才能正常收视。笔者认为出现这一问题的原因不是高频头的本振频率发生了漂移,而是数字卫星...

    [阅读全文]

  • 卫视参数新发现 2006-07-13
    1.位于东经68.5°轨道位置的泛美10号卫星C波段上,新增KBS(韩国)频道,设置3913/V/6510参数可免费接收。2.位于东经68.5°轨道位置的泛美10号卫星C波段上,新增TCT频道,设置4184/H/19850参数可免费接收。3.位于东经...

    [阅读全文]

  • 电脑故障排除之“八先八后” 2006-07-13
    相对于其它电器产品来说,电脑是一个容易出这样那样故障的东东。电脑出故障了,是许多电脑爱好者头痛的事情,该如何来应对及解决我们所遇到的电脑故障呢?在此我总结撰写了一篇电脑维护中的“八先八后”法则,抛砖引...

    [阅读全文]

  • 电机行业的术语翻译 2006-07-12
    induction machine 感应式电机 horseshoe magnet 马蹄形磁铁 magnetIC field 磁场 eddy current 涡流 right-hand rule 右手定则 left-hand rule 左手定则 slip 转差率 induction motor 感应电动机 rotating magnetic...

    [阅读全文]

  • GSM手机维修基础知识 2006-07-12
    不同品牌的GSM手机,其硬件实现是有区别的,采用的专用集成电路,元器件,工艺,机械结构也不一样,但其基本功能是一样的,就是说对无线接口采用统一的GSM规范,以保证不同的厂家的产品可以在GSM网络中使用, 这也是...

    [阅读全文]

  • [组图] 如何掌握电烙铁焊接技术 2006-07-12
    在电子制作中,元器件的连接处需要焊接。焊接的质量对制作的质量影响极大。所以,学习电于制作技术,必须掌握焊接技术,练好焊接基本功。一、焊接工具1、电烙铁电烙铁是最常用的焊接工具。我们使用20W内热式电...

    [阅读全文]

  • 单片机硬件系统设计原则 2006-07-12
    一个单片机应用系统的硬件电路设计包含两部分内容:一是系统扩展,即单片机内部的功能单元,如ROM、RAM、I/O、定时器/计数器、中断系统等不能满足应用系统的要求时,必须在片外进行扩展,选择适当的芯片,设计相应的...

    [阅读全文]

  • 值得注意的单片机控制板的设计原则 2006-07-12
      (1) 在元器件的布局方面,应该把相互有关的元件尽量放得靠近一些,例如,时钟发生器、晶振、CPU的时钟输入端都易产生噪声,在放置的时候应把它们靠近些。对于那些易产生噪声的器件、小电流电路、大电流电路开...

    [阅读全文]

  • 关于用单片机控制LED显示的一点粗浅经验之谈 2006-07-12
    一: LED显示器件的基础 LED发光器件一般常用的有2类、数码管和点阵 1:数码管 a,数码管常用的一般8字型,分为A B C D E F G P段,其中P位小数点 b,数码管常用的有10根管脚(单位),每段一根管脚,另外两根管脚为公共端,2根...

    [阅读全文]

  • [组图] RS232技术详解 2006-07-12
    串行通信接口标准经过使用和发展,目前已经有几种。但都是在RS-232标准的基础上经过改进而形成的。所以,以RS-232C为主来讨论。RS-323C标准是美国EIA(电子工业联合会)与BELL等公司一起开发的1969年公布的通信协议。...

    [阅读全文]

  • [图文] RS-232接口定义及连线 2006-07-12
    RS-232接口又称之为RS-232口、串口、异步口或一个COM(通信)口。"RS-232"是其最明确的名称。 在计算机世界中,大量的接口是串口或异步口,但并不一定符合RS-232标准,但我们也通常认为它是RS-232口。 严格地...

    [阅读全文]

  • [图文] PC和多单片机通信的一种方法 2006-07-12
    单片机应用简单灵活,使用非常广泛,但存在无法实时保存大量的数据、实时更新数据等缺点,因此经常需要把单片机和PC机的优势相结合组成系统。本文以卡式电话管理系统(非通用型电话系统)为例,从硬件和软件两方面分析...

    [阅读全文]

  • [组图] J-K触发器 2006-07-11
    一、 实验目的1.掌握J-K触发器的逻辑功能。2.掌握集成J-K触发器逻辑功能的测试方法。3.掌握触发器之间的相互转换方法。二、实验预习要求1.复习J-K触发器的逻辑功能。2.掌握D触发器和J-K触发器的真值表及其转换的基本...

    [阅读全文]

  • 步进电机常识 2006-07-11
    1.什么是步进电机? 步进电机是一种将电脉冲转化为角位移的执行机构。通俗一点讲:与普通电机不同,步进电机的转动是一步一步地进行的,每输入一个脉冲电信号,步进电机就转动一个角度。通过改变脉冲频率和数量,即...

    [阅读全文]

  • 维修方法之断路法 2006-07-11
    断路法就是人为地把电路中的某一支路或某个元器件的某条引脚焊开来查找故障的方法,有时又称开路法。它是一种快速缩小故障范围的有效方法。 断路法常用于直流供电电源短路或因负载过重造成的故障。如发现晶体管超...

    [阅读全文]

  • 维修方法之代换法 2006-07-11
    所谓代换法,就是对某个被怀疑有可能发生故障的元器件或单元电路使用正常的元器件或单元电路进行代换,从中找到故障的部位,及时排除故障的方法。此法比较适合初学者和判断疑难故障,特别是在缺少仪器和仪表的情...

    [阅读全文]

  • 场扫描电路的作用及功能 2006-07-11
    场扫描电路的作用及功能 1. 给场偏转线圈输送线性良好的并且有足够幅度的锯齿波电流为了使显像管电子束在荧光屏上做上下方向的扫描必须使场偏转线圈流过线性良好的锯齿波电流电流幅度大小决定电子束在荧光屏垂直方向...

    [阅读全文]

  • 亮度对比度和自动亮度控制电路 2006-07-11
    计算机人机对话是通过显示器屏幕图像包括字符变化而进行的计算机工作在不同环境下亮度是不一样的如白天和夜间室内和户外等都不一样因此对显示器屏幕的亮度必须根据需要进行适当调整以满足不同环境下对显示器图像亮度...

    [阅读全文]

总数:433930 上一页1 ...108 109 110 111 112 113 114 ...145下一页

栏目导航

推荐阅读

图文阅读

热门阅读

Copyright © 2007-2017 down.gzweix.Com. All Rights Reserved .
页面执行时间:15,867.19000 毫秒